74LS148

74LS148是带有扩展功能的8-3线优先编码器,它有8个信号输入端,3个二进制码输出端,一个输入使能端,一个选通输出端和一个扩展端。使能端为“0”时,该芯片被选中,否则不被选中。选通输出端和扩展端主要用于功能扩展。 

74LS148 是 8 线-3 线优先编码器芯片,它有 8个输入信号端,3 个输出信号端。同时还有选通输入端 S、选通输出端 YS和扩展端 YEX。输入输出信号以及 S、YS、YEX的有效电平都是低电平。在同时有多个输入信号时,仅对优先权最高的信号进行编码。

你可能感兴趣的